CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC Verilog

搜索资源列表

  1. verilog.HDL.examples

    1下载:
  2. 许多非常有用的 Verilog 实例: ADC, FIFO, ADDER, MULTIPLIER 等-many very useful Verilog examples : ADC, FIFO, ADDER, MULTIPLIER etc.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:188277
    • 提供者:张驰
  1. adc

    1下载:
  2. 编写verilog代码 利用实验箱上的A/D芯片完成模数转换。输入电压由实验箱提供,其幅值在0~5V间变化,由电位器控制。输出信号显示输入的模拟电压值,由数码管显示为2位BCD码的形式。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-18
    • 文件大小:22228
    • 提供者:Ericwhu
  1. ADC

    4下载:
  2. 用verilog编程实现的基于FPGA的AD数据采集程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:499824
    • 提供者:张西贝
  1. FPGA控制AD程序,ADC,DAC转换接口

    2下载:
  2. FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar,FPGA control AD procedure
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:269105
    • 提供者:黄群
  1. Verilog_ADCtestcode

    1下载:
  2. ADC测试的verilog代码,可以下载到FPGA上面实现对ADC性能测试。-the test code for ADC of verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:145058
    • 提供者:刘晓志
  1. ADC_INTERFACE

    0下载:
  2. it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit. -it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6857
    • 提供者:yasir ateeq
  1. ADC_CONTROL_VERYLOG

    0下载:
  2. 运行在FPGA上的Verilog程序(实现对ADC的控制)-Verilog procedures (the achievement of the control of the ADC)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:320008
    • 提供者:lion
  1. ADC

    1下载:
  2. verilog code for ADC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1485
    • 提供者:nhat
  1. ADControl

    1下载:
  2. 用verilog实现,ADC控制,源代码,可进行仿真-Verilog with the realization of, ADC control, source code, can be simulated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:138989
    • 提供者:代鑫
  1. verilog-A_library

    0下载:
  2. Complete Verilog-A library for analog blocks, like ADC, DAC, amplifiers
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:81242
    • 提供者:zhanglh
  1. adc_spi

    0下载:
  2. dsp通过SPI接口数据采集 sigma-delta ADC采集程序-dsp through the SPI interface, data acquisition sigma-delta ADC acquisition program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-04
    • 文件大小:8599
    • 提供者:xingtian
  1. AD0819

    0下载:
  2. 利用verilog语言实现对AD0819的模数转换控制,源代码工程文件-Verilog language used on the AD0819' s ADC control, source code project files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:98513
    • 提供者:lifejoy
  1. 16bitADC

    1下载:
  2. verilog实现的16位模数转换器参考源代码-verilog to achieve 16-bit ADC reference source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1417
    • 提供者:龚俊杰
  1. part1_2.tar

    0下载:
  2. this a 10bit 80MSample/sec SAR ADC with offset cancellation capability (implemented in verilog)-this is a 10bit 80MSample/sec SAR ADC with offset cancellation capability (implemented in verilog)
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:288951
    • 提供者:meteora
  1. ADC

    0下载:
  2. a verilog code about dac of audio codec on fpga board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:685
    • 提供者:DCLAB
  1. hsadc

    0下载:
  2. ADC ADS62P49 It is TI adc verilog source code for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2128299
    • 提供者:anil
  1. adc

    1下载:
  2. 设计ADC控制器,Verilog代码.利用有限状态机设计方法在FPGA上设计ADC0809的接口控制器,采样结果送到数码管显示出来。-ADC controller design, Verilog code using finite state machine design in the FPGA design ADC0809 interface controller, the sampling results to the digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3226
    • 提供者:钟雪美
  1. analog_and_mixed_signal_ic_design

    1下载:
  2. 模拟与混合信号集成电路前端设计培训,内含ADC设计,verilog A, SPICE,设计方程\方法等(Analog and mixed signal ic front end design tutorial, example ADC design. including Verilog A, SPICE and design equations for AMS circuit design.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-10-06
    • 文件大小:25085931
    • 提供者:Alex Xiang
  1. SPI_ADC

    0下载:
  2. spi串行输出ADC——AD7989的verilog源代码。(Spi serial output ADC - AD7989 Verilog source code.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-29
    • 文件大小:1024
    • 提供者:Zhongzi123
  1. adc verilog代码

    1下载:
  2. 16bit adc驱动代码,带有spi接口和转换数据功能
  3. 所属分类:硬件设计

    • 发布日期:2023-05-30
    • 文件大小:1535
    • 提供者:Matrix_1949
« 12 3 4 »
搜珍网 www.dssz.com